Jim Lewis
-
Jim Lewis replied to the topic randcovpoint in the forum OSVVM 2 years, 8 months ago
Please see GetRandPoint (its new name) in RandomPkg User Guide. There are also examples there.
Best Regards,
Jim -
Jim Lewis replied to the topic AxiStreamReceiver: Multiple Drivers on Transaction Record. in the forum OSVVM 2 years, 8 months ago
Hi Cols and Nico,
This brings up a bigger question, “how do we debug our simulations when something like this happens?”First observe the time at which something happens. Look at what transactions were running before that time.
If our simulator supports interactive debugging such as single step and/or breakpoint, then the following may help…[Read more]
-
Jim Lewis replied to the topic AxiStreamReceiver: Multiple Drivers on Transaction Record. in the forum OSVVM 2 years, 8 months ago
Hi Cols and Nico,
Did you look at TbAxi4_Shared1.vhd? Each instance of the VC needs to have its own record. See TestCtrl_e.vhd in the same directory.Send me an email with your TestCtrl in it. It would probably be good to see your test harness also.
Best Regards,
Jim -
Jim Lewis wrote a new post 2 years, 8 months ago
Improve your verification capabilities with Open Source VHDL Verification Methodology (OSVVM).
OSVVM simplifies your FPGA and ASIC verification tasks by providing utility and model libraries. Using these free, […]
-
Jim Lewis replied to the topic AxiStreamReceiver: Multiple Drivers on Transaction Record. in the forum OSVVM 2 years, 9 months ago
Hi Gianico,
Each AxiStreamReceiver needs its own transaction record. In the OSVVM testcases, we are using a single record named StreamRxRec. You need separate ones, such as StreamRx1Rec and StreamRx2Rec.There is an example of this done with the Axi4 interface. See directory OsvvmLibraries/AXI4/Axi4/testbench_MultipleMemory. In there see the…[Read more]
-
Jim Lewis replied to the topic How does genbin work? in the forum OSVVM 2 years, 9 months ago
First, you are using the older, protected type based API. You might want to consider using the newer, singleton based API – it is simpler and does not require the test writer to use protected types (they are hidden internal to the singleton).
If you look at the document, OsvvmLibraries/Documentation/CoveragePkg_user_guide.pdf, you will find…[Read more]
-
Jim Lewis replied to the topic Access signals from test harness in the forum OSVVM 2 years, 9 months ago
I try to user either a Verification Component or a Monitor to provide an abstraction layer for all interactions with the DUT.
If there need to be exceptions to this, then you can use external names in TestCtrl to access items from the DUT. To make this feasible, when creating the test harness (top level netlist that connects DUT, VC, and…[Read more]
-
Jim Lewis replied to the topic Reading *.ppm Image Files in OSVVM for Test Stimulus in the forum OSVVM 2 years, 9 months ago
Hi Nico,
We don’t currently have anything to read that format, however, if I understood it right, it does not look too difficult to read.What you want too look at with this sort of thing is how does the system interact with the image. For example, does the system receive the image via an AXI Stream interface? In this case you can have the…[Read more]
-
Jim Lewis replied to the topic Questasim Version in the forum OSVVM 2 years, 10 months ago
You should be able to use OSVVM with any QuestaSim version. Which version are you using? Are you having any problems? Have you run the OSVVM test suite and experienced any problems with QuestaSim?
I test with QuestaSim 2020.04. I have noticed some irregularities with some QuestaSim versions, but nothing that I could address by changing…[Read more]
-
Jim Lewis replied to the topic OSVVM and Cadence Xcelium in the forum OSVVM 2 years, 11 months ago
Hi Steve,
I am just getting back to testing Cadence. Need to test with their Agile release. I think that may be a different release channel than normal, so I am trying to work through it.Best Regards,
Jim -
Jim Lewis wrote a new post 2 years, 11 months ago
OSVVM release 2021.10 focused on improving OSVVM’s reporting capability. In my last post, “OSVVM 2021.10: Build Summary Reports”, I talked about our HTML and JUnit CI reports that allow us to assess whether a set […]
-
Jim Lewis wrote a new post 3 years ago
When we run a set of tests, we need to be able to assess whether all test cases passed or quickly identify which test cases failed. This is the purpose of the OSVVM 2021.10 Build Summary Reports.
When tests […]
-
Jim Lewis wrote a new post 3 years ago
I don’t know about you, but I am tired of having to learn a new set of switches and methods to do the same tasks I already know how to do in another simulator.
What I want is one script to rule run them […]
-
Hi, I installed and am currently running OSVVM on my project, I have to say its been a great experience, I normally write my test benches in system verilog but we write mostly vhdl so i stumbled upon osvvm and like it alot, soo much I would like to extend the capability to synthesis. If i get it working correctly, I would like to contribute to the project if allowed to…
-
Hi JJ,
Contributions are welcome.
Best Regards,
Jim
-
-
Jim Lewis replied to the topic Compiling UART testbench.pro in Questa in the forum OSVVM 3 years ago
Hi Graeme,
You need to dobuild ../UART/testbench/testbench.pro
In OSVVM,
build
andinclude
use a path reference relative to the script they are running.
Hence, in testbench.pro, it just saysanalyze TestCtrl_e.vhd
. The path to testbench.pro gets
automatically prepended onto the file name. Hence, when writing scripts we only need to know
the…[Read more] -
Jim Lewis wrote a new post 3 years ago
As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM.
At this […]
-
Jim Lewis wrote a new post 3 years, 1 month ago
In reply to a LinkedIn post that regurgitated the old statement that VHDL is verbose, I replied, “With the VHDL-2008 update, Verilog is more verbose than VHDL.”
This led my old friend Cliff Cummings and I to […]
-
Jim Lewis wrote a new post 3 years, 1 month ago
VHDL + Open Source VHDL Verification Methodology (OSVVM) is great for verification and is a competitor to SystemVerilog + UVM. Especially in the FPGA space where VHDL is the dominant language (see the 2020 […]
-
Jim Lewis replied to the topic OSVVM and Cadence Xcelium in the forum OSVVM 3 years, 1 month ago
Hi Steve,
A quick update. I am working with Cadence on Xcelium. Things are looking up. I should have more news on this shortly.I have provided them with the full OSVVM regression suite. I am optimistic, but I don’t know the time frame for them to update Xcelium.
-
Jim Lewis replied to the topic Synopsys VCS-MX in the forum OSVVM 3 years, 1 month ago
A quick update. I am working with Synopsys on VCS. Things are looking up. I should have more news on this shortly.
-
Jim Lewis replied to the topic Running example test-benches with GHDL in the forum OSVVM 3 years, 3 months ago
Found it!
Apparently the mcode version of GHDL errors out if you subtract 1 from an uninitialized integer.
All other simulators are ok with this. OTOH, it was easy enough to fix. So there is
now a fix in the 2021.07 release. It is on GitHub now. It will be on OSVVM.org
shortly - Load More