Jim Lewis
-
Jim Lewis replied to the topic Ordre of stimulus in the forum OSVVM 2 years, 6 months ago
Omaima
In the future, please start a new question for a new question.AddCross adds items to the coverage model, so you need to do this before generating values. If you do additional AddCross, that simply adds to the coverage model – even in this case when they are redundant.
Also, I no longer recommend the while loop for this…[Read more]
-
Jim Lewis replied to the topic GENERATION OF RANDOM BYTES USING RANDOM PACKAGE ONLY in the forum OSVVM 2 years, 6 months ago
Hi Nagella,
I typically use an active low reset (ie: 0 when active). Did I guess wrong in your case?
Let me be a little more abstract. Lets assume the constant ACTIVE has the value that
reset has when it is active.process
[Read more]
variable RV:RandomPType;
variable b:std_logic_vector( 7 downto 0);
begin
RV.Initseed(RV’instance_name);
-- i… -
Jim Lewis wrote a new post 2 years, 6 months ago
Abstract
OSVVM has grown tremendously over the last couple of years. This period saw simulator independent scripting, test reporting, model independent transactions, virtual transaction interfaces, and […] -
Jim Lewis wrote a new post 2 years, 6 months ago
Abstract
According to the 2020 Wilson Verification Survey FPGA verification engineers spend 46% of their time debugging. As a result, we need good scripting to simplify running tests and good reports to […] -
Jim Lewis wrote a new post 2 years, 6 months ago
I just posted 2022.05a release.
2022.05 added html transcripts capability to a larger set of tools. Unfortunately this capability requires a new version of TCL that is not supported by older tools, such as […]
-
Jim Lewis replied to the topic Ordre of stimulus in the forum OSVVM 2 years, 6 months ago
Hi Omaima,
First, I should note you are using the older version of CoveragePkg that has a protected type interface. I suggest that you upgrade to the newer version that has a singleton interface. Please see CoveragePkg_user_guide.pdf in the OsvvmLibraries/Documentation.Coverage is a data structure. Calls are done in order and items…[Read more]
-
Jim Lewis replied to the topic GENERATION OF RANDOM BYTES USING RANDOM PACKAGE ONLY in the forum OSVVM 2 years, 6 months ago
Hi Nagella
To debug your code start by reading your code out loud. Do at least 2 iterations of your process.What we see is that you are calling InitSeed before generating each value.
Why is this a problem? Verification uses pseudo random. Each seed always produces the same sequence of values. For verification pseudo random is required so your…[Read more]
-
Jim Lewis posted a new activity comment 2 years, 6 months ago
Hi Nagella
To debug your code start by reading your code out loud. Do at least 2 iterations of your process.What we see is that you are calling InitSeed before generating each value.
Why is this a problem? Verification uses pseudo random. Each seed always produces the same sequence of values. For verification pseudo random is required so…[Read more]
-
Jim Lewis replied to the topic Code Coverage with Aldec Riviera Pro in the forum OSVVM 2 years, 6 months ago
Hi Michael,
I may have specified an extra attribute during simulation. You can adjust for this by doing:
SetCoverageSimulateOptions "-acdb_cov sbm -cc_all"
But it still did not report anything, so I read more into the the Aldec Riviera-PRO reference manual:
> Riviera-PRO does not automatically recognize the finite state machines from code. The…[Read more] -
Jim Lewis replied to the topic Code Coverage with Aldec Riviera Pro in the forum OSVVM 2 years, 6 months ago
Hi Michael,
The intention is that it reports statemachine options. Maybe I am missing something in the scripts?You can set the specific options you want with SetCoverageAnalyzeOptions and SetCoverageSimulateOptions. The defaults for Riviera-PRO are as follows. I thought the “m” here is for statemachines.
`
SetCoverageAnalyzeOptions…[Read more] -
Jim Lewis replied to the topic Code Coverage with Aldec Riviera Pro in the forum OSVVM 2 years, 6 months ago
Hi Michael,
First a quick answer:
DoSetCoverageSimulateEnable true
before you build OSVVM libraries as well as anything else you don’t want coverage collected for.Why:
Currently when analyze is run for Riviera-PRO by default we do:
vcom -${VhdlVersion} -dbg -relax -work ${LibraryName} {*}${OptionalCommands} ${FileName}
The
-dbg
flag…[Read more] -
Jim Lewis wrote a new post 2 years, 6 months ago
Abstract
Some methodologies (or frameworks) are so complex that you need a script to create initial starting point for writing verification components, test cases, and/or the test harness. SystemVerilog + UVM […] -
Jim Lewis wrote a new post 2 years, 6 months ago
For some time now, OSVVM has been doing releases every month. Sometimes I talk about them, sometimes they just get posted to the downloads page.
Over the past several releases OSVVM has:
Im […]
-
Jim Lewis replied to the topic Generate Statement Breaks UART RX VC in the forum OSVVM 2 years, 7 months ago
I have pushed the updated code to the main branch now.
-
Jim Lewis replied to the topic Generate Statement Breaks UART RX VC in the forum OSVVM 2 years, 7 months ago
Hi Michael,
Unfortunately this impacts all OSVVM VC. So the same change is needed for the UartTx.Are you using sources from OSVVM.org or GitHub? I have updated the GitHub dev branch. I will update the main branch tomorrow. If you are using sources from OSVVM.org, I will update them too, otherwise, they will be updated when 2022.05 is…[Read more]
-
Jim Lewis replied to the topic Generate Statement Breaks UART RX VC in the forum OSVVM 2 years, 7 months ago
Hi Michael,
Thanks for the long winded question – it gives me the information I need.It looks like the instance name is the same for all instances of the UART.
As a result statement that constructs the ReceiveFifo is connecting them all
together. Currently this:ReceiveFifo <= NewID("ReceiveFifo", ID, ReportMode => DISABLED) ;
It is…[Read more]
-
Jim Lewis replied to the topic OSVVM and Cadence Xcelium in the forum OSVVM 2 years, 7 months ago
Hi Steve,
Thanks for the update. I have plans to test with Xcelium 22.03 soon.
Looking forward to seeing the results.Best Regards,
Jim -
Jim Lewis wrote a new post 2 years, 7 months ago
SynthWorks is continuing with our monthly instructor lead, on-line VHDL classes. With our “half day” on-line format, we do on-line classes right. Accelerate your learning pace of OSVVM. For additional cla […]
-
Jim Lewis replied to the topic Synopsys VCS-MX in the forum OSVVM 2 years, 8 months ago
Hi Antonio,
You will need their latest service pack for VCS. I think there was one in October. The hot-fixes they were providing me for testing did not get integrated until shortly after the 2021.09 release.Best Regards,
Jim -
Jim Lewis commented on the post, OSVVM Scripting: One Script to Run them All 2 years, 8 months ago
Hi JJ,
Contributions are welcome.
Best Regards,
Jim - Load More